ورود به حساب

نام کاربری گذرواژه

گذرواژه را فراموش کردید؟ کلیک کنید

حساب کاربری ندارید؟ ساخت حساب

ساخت حساب کاربری

نام نام کاربری ایمیل شماره موبایل گذرواژه

برای ارتباط با ما می توانید از طریق شماره موبایل زیر از طریق تماس و پیامک با ما در ارتباط باشید


09117307688
09117179751

در صورت عدم پاسخ گویی از طریق پیامک با پشتیبان در ارتباط باشید

دسترسی نامحدود

برای کاربرانی که ثبت نام کرده اند

ضمانت بازگشت وجه

درصورت عدم همخوانی توضیحات با کتاب

پشتیبانی

از ساعت 7 صبح تا 10 شب

دانلود کتاب Analog and Mixed-Signal Hardware Description Language

دانلود کتاب زبان توصیف سخت افزار آنالوگ و مخلوط سیگنال

Analog and Mixed-Signal Hardware Description Language

مشخصات کتاب

Analog and Mixed-Signal Hardware Description Language

ویرایش: 1 
نویسندگان: , , , ,   
سری: Current Issues in Electronic Modeling 10 
ISBN (شابک) : 9781461378914, 9781461562979 
ناشر: Springer US 
سال نشر: 1997 
تعداد صفحات: 172 
زبان: English 
فرمت فایل : PDF (درصورت درخواست کاربر به PDF، EPUB یا AZW3 تبدیل می شود) 
حجم فایل: 6 مگابایت 

قیمت کتاب (تومان) : 42,000



کلمات کلیدی مربوط به کتاب زبان توصیف سخت افزار آنالوگ و مخلوط سیگنال: سخت افزار کامپیوتر، مهندسی برق، زبان های برنامه نویسی، کامپایلر، مترجمان



ثبت امتیاز به این کتاب

میانگین امتیاز به این کتاب :
       تعداد امتیاز دهندگان : 11


در صورت تبدیل فایل کتاب Analog and Mixed-Signal Hardware Description Language به فرمت های PDF، EPUB، AZW3، MOBI و یا DJVU می توانید به پشتیبان اطلاع دهید تا فایل مورد نظر را تبدیل نمایند.

توجه داشته باشید کتاب زبان توصیف سخت افزار آنالوگ و مخلوط سیگنال نسخه زبان اصلی می باشد و کتاب ترجمه شده به فارسی نمی باشد. وبسایت اینترنشنال لایبرری ارائه دهنده کتاب های زبان اصلی می باشد و هیچ گونه کتاب ترجمه شده یا نوشته شده به فارسی را ارائه نمی دهد.


توضیحاتی در مورد کتاب زبان توصیف سخت افزار آنالوگ و مخلوط سیگنال



زبان‌های توصیف سخت‌افزار (HDL) مانند VHDL و Verilog تقریباً در همه جنبه‌های طراحی سیستم‌های سخت‌افزار دیجیتال راه خود را پیدا کرده‌اند. از زمان آغاز به کار، آنها به تدریج ثابت کردند که بخش مهمی از متدولوژی های طراحی مدرن و ابزارهای اتوماسیون طراحی هستند و همیشه از اهداف اصلی خود یعنی زبان های توصیف و شبیه سازی فراتر می روند. استفاده از آنها برای سنتز خودکار، اثبات رسمی و آزمایش نمونه های خوبی هستند.
تاکنون HDL ها عمدتاً با سیستم های دیجیتال سروکار داشته اند. با این حال، سیستم های یکپارچه طراحی شده امروزه به قطعات آنالوگ بیشتر و بیشتری مانند مبدل های A/D و D/A، حلقه های قفل شده فاز، آینه های جریان و غیره نیاز دارند. زبان همانطور که در این کتاب نشان داده شده است، استفاده از VHDL یا Verilog برای رسیدگی به توضیحات آنالوگ ممکن است، اما قدرت واقعی از HDLهای سیگنال مختلط واقعی می آید که معنایی گسسته و پیوسته را در یک چارچوب یکپارچه ادغام می کند. HDLهای آنالوگ (AHDL) در اینجا زیرمجموعه‌ای از HDLهای سیگنال مختلط در نظر گرفته می‌شوند، زیرا قصد دارند همان سطح از ویژگی‌های HDL را ارائه دهند، اما با دامنه‌ای محدود به سیستم‌های آنالوگ، احتمالاً با پشتیبانی محدود از معنایی گسسته.
زبان‌های توصیف سخت‌افزار آنالوگ و سیگنال مختلط چندین جنبه مربوط به زبان‌های توصیف سخت‌افزار آنالوگ و سیگنال مختلط را پوشش می‌دهد، از جمله:
استفاده از HDL دیجیتال برای توصیف و شبیه‌سازی سیستم های آنالوگ ظهور توسعه های HDL های استاندارد موجود که HDL های آنالوگ واقعی و سیگنال مختلط را ارائه می دهند.
استفاده از HDLهای آنالوگ و سیگنال مختلط برای توسعه مدل‌های رفتاری بلوک‌های ساختمانی آنالوگ (الکترونیکی) (تقویت‌کننده عملیاتی، PLL) و برای طراحی میکروسیستم‌هایی که فقط شامل قطعات الکترونیکی نمی‌شوند.
استفاده از یک ابزار جلویی که کار توصیف را با کمک یک الگوی گرافیکی آسان می‌کند و در عین حال توصیف‌های AHDL را به‌طور خودکار تولید می‌کند.
زبان‌های توصیف سخت‌افزار آنالوگ و سیگنال مختلط اولین کتابی است که نحوه استفاده از این زبان‌های توصیف سخت‌افزار جدید را در طراحی اجزا و سیستم‌های الکترونیکی نشان می‌دهد. مطالعه برای محققان و طراحان فعال در طراحی الکترونیک ضروری است.


توضیحاتی درمورد کتاب به خارجی

Hardware description languages (HDL) such as VHDL and Verilog have found their way into almost every aspect of the design of digital hardware systems. Since their inception they gradually proved to be an essential part of modern design methodologies and design automation tools, ever exceeding their original goals of being description and simulation languages. Their use for automatic synthesis, formal proof, and testing are good examples.
So far, HDLs have been mainly dealing with digital systems. However, integrated systems designed today require more and more analog parts such as A/D and D/A converters, phase locked loops, current mirrors, etc.
The verification of the complete system therefore asks for the use of a single language. Using VHDL or Verilog to handle analog descriptions is possible, as it is shown in this book, but the real power is coming from true mixed-signal HDLs that integrate discrete and continuous semantics into a unified framework. Analog HDLs (AHDL) are considered here a subset of mixed-signal HDLs as they intend to provide the same level of features as HDLs do but with a scope limited to analog systems, possibly with limited support of discrete semantics.
Analog and Mixed-Signal Hardware Description Languages covers several aspects related to analog and mixed-signal hardware description languages including:
The use of a digital HDL for the description and the simulation of analog systems The emergence of extensions of existing standard HDLs that provide true analog and mixed-signal HDLs.
The use of analog and mixed-signal HDLs for the development of behavioral models of analog (electronic) building blocks (operational amplifier, PLL) and for the design of microsystems that do not only involve electronic parts.
The use of a front-end tool that eases the description task with the help of a graphical paradigm, yet generating AHDL descriptions automatically.
Analog and Mixed-Signal Hardware Description Languages is the first book to show how to use these new hardware description languages in the design of electronic components and systems. It is necessary reading for researchers and designers working in electronic design.



فهرست مطالب

Front Matter....Pages I-XX
Applicability of Discrete Event Hardware Description Languages to the Design and Documentation of Electronic Analog Systems....Pages 1-17
VHDL 1076.1: Analog and Mixed-Signal Extensions to VHDL....Pages 19-41
Analog Extensions to Verilog....Pages 43-56
Op3: A Behavioral Generic Model of Operational Amplifiers....Pages 57-84
Non-Linear State Space Averaged Modeling of a 3-State Digital Phase-Frequency Detector....Pages 85-102
Behavioural Modelling of Analogue Systems with Absynth....Pages 103-130
VHDL-1076.1 Modeling Examples for Microsystem Simulation....Pages 131-154
Back Matter....Pages 155-158




نظرات کاربران